有没有以ISE为网络授课平台有哪些的FPGA教学视频?

&>&&>&&>&&>&Xilinx ISE
FPGA开发实用教程 田耘 清华大学
Xilinx ISE
FPGA开发实用教程 田耘 清华大学
上传大小:131.6MB
《Xilinx FPGA开发实用教程》内容介绍:
本书系统讲述了Xilinx FPGA的开发知识,包括FPGA开发简介、Verilog HDL语言基础、基于Xilinx芯片的HDL语言高级进阶、ISE开发环境使用指南、FPGA配置电路及软件操作、在线逻辑分析仪ChipScope的使用、基于FPGA的数字信号处理技术、基于System Generator的DSP系统开发技术、基于FPGA的可编程嵌入式开发技术、基于FPGA的高速数据连接技术和时序分析原理以及时序分析器的使用11章内容,各章均以实例为基础,涵盖了FPGA开发的主要方面。
本书适合从事Xilinx系列FPGA设计与开发的工程师,以及相关专业的研究生和高年级本科生使用。
作者简介:
田耘,徐文波 编著
田耘,徐文波 编著 书籍
Xilinx FPGA开发实用教程
Xilinx ISE Design Suite 10.x FPGA开发指南——逻辑设计篇
无线通信FPGA设计
Xilinx ISE Design Suite 10.x FPGA开发指南——DSP、嵌入式与高速传输篇
苏州当代艺文志(全五册)
&&更多....
图书目录:
第1章 FPGA开发简介
 1.1 可编程逻辑器件基础
  1.1.1 可编程逻辑器件概述
  1.1.2 可编程逻辑器件的发展历史
  1.1.3 PLD开发工具
 1.2 FPGA芯片结构
  1.2.1 FPGA工作原理与简介
  1.2.2 FPGA芯片结构
  1.2.3 软核、硬核以及固核的概念
 1.3 基于FPGA的开发流程
  1.3.1 FPGA设计方法概论
  1.3.2 典型FPGA开发流程
  1.3.3 基于FPGA的SOC设计方法
 1.4 Xilinx公司主流可编程逻辑器件简介
  1.4.1 Xilinx FPGA芯片介绍
  1.4.2 Xilinx PROM芯片介绍
 1.5 本章小结
第2章 Verilog HDL语言基础
 2.1 Verilog HDL语言简介
  2.1.1 Verilog HDL语言的历史
  2.1.2 Verilog HDL的主要能力
  2.1.3 Verilog HDL和VHDL的区别
  2.1.4 Verilog HDL设计方法
 2.2 Verilog HDL基本程序结构
 2.3 Verilog HDL语言的数据类型和运算符
  2.3.1 标志符
  2.3.2 数据类型
  2.3.3 模块端口
  2.3.4 常量集合
  2.3.5 运算符和表达式
 2.4 Verilog HDL语言的描述语句
  2.4.1 结构描述形式
  2.4.2 数据流描述形式
  2.4.3 行为描述形式
  2.4.4 混合设计模式
 2.5 Verilog代码书写规范
  2.5.1 信号命名规则
  2.5.2 模块命名规则
  2.5.3 代码格式规范
  2.5.4 模块调用规范
 2.6 Verilog常用程序示例
  2.6.1 Verilog基本模块
  2.6.2 基本时序处理模块
  2.6.3 常用数字处理算法的Verilog实现
 2.7 本章小结
第3章 基于Xilinx芯片的HDL语言高级进阶
 3.1 面向硬件电路的设计思维
  3.1.1 面向硬件的程序设计思维
  3.1.2 “面积”和“速度”的转换原则
  3.1.3 同步电路的设计原则
  3.1.4 模块划分的设计原则
 3.2 优秀的HDL代码风格
  3.2.1 代码风格的含义
  3.2.2 通用代码风格的介绍
  3.2.3 专用代码风格的简要说明
 3.3 Verilog建模与调试技巧
  3.3.1 双向端口的使用和仿真
  3.3.2 阻塞赋值与非阻塞赋值
  3.3.3 输入值不确定的组合逻辑电路
  3.3.4 数学运算中的扩位与截位操作
  3.3.5 利用块RAM来实现数据延迟
  3.3.6 测试向量的生成
 3.4 Xilinx公司原语的使用方法
  3.4.1 计算组件
  3.4.2 时钟组件
  3.4.3 配置和检测组件
  3.4.4 吉比特收发器组件
  3.4.5 I/O端口组件
  3.4.6 处理器组件
  3.4.7 RAM/ROM组件
  3.4.8 寄存器和锁存器
  3.4.9 移位寄存器组件
  3.4.10 Slice/CLB组件
 3.5 本章小结
第4章 ISE开发环境使用指南
第5章 FPGA配置电路及软件操作
第6章 在线逻辑分析仪ChipScope的使用
第7章 基于FPGA的数字信号处理技术
第8章 基于System Generator的DSP系统开发技术
第9章 基于FPGA的可编程嵌入式开发技术
第10章 基于FPGA的高速数据连接技术
第11章 时序分析原理以及时序分析器的使用
参考文献...展开收缩
嵌到我的页面
<input type="text" value="">
综合评分:4.7(313位用户评分)
所需积分:1
下载次数:1626
审核通过送C币
创建者:tox33
创建者:chengdong1314
创建者:qianleikuihai
课程推荐相关知识库
积分&13190
上传者其他资源上传者专辑
开发技术热门标签
VIP会员动态
您因违反CSDN下载频道规则而被锁定帐户,如有疑问,请联络:!
android服务器底层网络模块的设计方法
所需积分:0
剩余积分:720
您当前C币:0
可兑换下载积分:0
兑换下载分:
兑换失败,您当前C币不够,请先充值C币
消耗C币:0
你当前的下载分为234。
Xilinx ISE
FPGA开发实用教程 田耘 清华大学
会员到期时间:
剩余下载次数:
你还不是VIP会员
开通VIP会员权限,免积分下载
你下载资源过于频繁,请输入验证码
您因违反CSDN下载频道规则而被锁定帐户,如有疑问,请联络:!
若举报审核通过,可奖励20下载分
被举报人:
tanhaijun2007
举报的资源分:
请选择类型
资源无法下载
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
*详细原因:Xilinx FPGA视频教程 信号路由
13:47:48来源: 互联网
关键字:&&
编辑:Frank
引用地址:
本网站转载的所有的文章、图片、音频视频文件等资料的版权归版权所有人所有,本站采用的非本站原创文章及图片等内容无法一一联系确认版权者。如果本网所选内容的文章作者及编辑认为其作品不宜公开自由传播,或不应无偿使用,请及时通过电子邮件或电话通知我们,以迅速采取适当措施,避免给双方造成不必要的经济损失。
微信扫一扫加关注 论坛活动 E手掌握
微信扫一扫加关注
芯片资讯 锐利解读
大学堂最新课程
TE工程师帮助将不可能变成可能,通过技术突破,使世界更加清洁、安全和美好。
TTI携TE传感器样片与你相见,一起传感未来
馆内包含了 纵览FRAM、独立FRAM存储器专区、FRAM内置LSI专区三大部分内容。 
热门资源推荐
频道白皮书
夏宇闻老师专栏
北京航空航天大学教授,国内最早从事复杂数字逻辑和嵌入式系统设计的专家。播放列表加载中...
正在载入...
分享视频:
嵌入代码:
拍下二维码,随时随地看视频
FPGA开发板 FPGA视频 XILINX开发板 ISE9.0安装视频?
上 传 者:
内容介绍:
FPGA开发板 FPGA视频 XILINX开发板 ISE9.0安装视频教程
我来说点啥
版权所有 CopyRight
| 京网文[0号 |
| 京公网安备:
互联网药品信息服务资格证:(京)-非经营性- | 广播电视节目制作经营许可证:(京)字第403号
<img src="" width="34" height="34"/>
<img src=""/>
<li data-vid="">
<img src=""/><i data-vid="" class="ckl_plays">
<img width="132" height="99" src=""/>
在线人数:
<li data-vid="">
<img src=""/><i data-vid="" class="ckl_plays">
<img src="///img/blank.png" data-src=""/>
<img src="///img/blank.png" data-src="http://"/>
<li data-vid="" class="cfix">
src="///img/blank.png" data-src=""/>
<i data-vid="" class="ckl_plays">
<li data-vid="" class="cfix">
src="///img/blank.png" data-src=""/><i data-vid="" class="ckl_plays">
没有数据!
{upload_level_name}
粉丝 {fans_count}
{video_count}
{description}FPGA入门必看资源,FPGA是什么,FPGA论坛,FPGA开发板,FPGA教程设计- 21IC中国电子网
&& && && && &
FPGA(Field-Programmable Gate Array), 即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。
FPGA工作原理
FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括 可配置逻辑模块CLB(Configurable Logic
Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。 现场可编程门阵列(FPGA)是可编程器件,与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构。FPGA利用小型查找表(16×1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能,FPGA允许无限次的编程。
FPGA基本特点:
1) 采用FPGA设计ASIC电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。
2) FPGA可做其它全定制或半定制ASIC电路的中试样片。
3) FPGA内部有丰富的触发器和I/O引脚。
4) FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。
5) FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。
主要FPGA生产厂商
1) Xilinx 开发平台是ISE
2) Altera,开发平台是Quartus II
3) Actel ,开发平台是Libero
4) Lattice
FPGA基础知识
交流、分享FPGA及PLD等可编程逻辑技术设计经验&&&&
FPGA 开发板
FPGA常用软件下载
FPGA设计流程
FPGA 应用设计
FPGA博客精选Xilinx FPGA平台5大开放课程正式发布 | 电子创新网赛灵思中文社区}

我要回帖

更多关于 网络授课平台有哪些 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信